发布
loading-left
loading loading loading
loading-right

加载中

个人主页
TA还没有准备介绍自己
TA的源代码 (2)
pic16f877a读取压力传感器HX711芯片程序
4.0分
pic16f877a读取hx711压力传感器芯片的程序。通过串口助手显示,已经编译通过
duaijun1975
2016-11-10
4
1
pic16f877a读取压强、温度BmP085
暂无评分
用Pic6f877a读取压强芯片bmp085的程序,已经编译,串口助手可以显示
duaijun1975
2016-11-09
0
1
没有更多了~