发布
loading-left
loading loading loading
loading-right

加载中

个人主页
TA还没有准备介绍自己
TA的源代码 (3)
基于matblab的QPSK调制解调仿真
暂无评分
QPSK调制全称QuadraturePhaseShiftKeying,意为正交相移键控,是一种数字调制方式。它的频带利用率高,且抗干扰性能强,已经成为现代通信技术中一种十分重要的调制解调方式。本文在介绍了不同数字调制方式原理的基础上,分别利用matlab编程语言和建模仿真工具Simulink实现了QPSK系统的仿真设计,通过仿真图形观察了调制解调过程中各环节的波形,并结合QPSK调制技术的原理,分析了系统的性能,证明了仿真模型的可行性。最后,进一步实现了系统在分别通过理想信道、通过高斯信道、先通过高斯白信道再通过瑞利衰落信道时的仿真设计,对比分析了不同情况下的系统性能。所得出结果与理论基本相符,对于理解QPSK系统的性能并对系统面向实际应用的设计,提供了有效的参考依据。 
hechangbing
2020-01-19
0
1
pi/4dqpsk调制,fpga实现
暂无评分
这是一个pi/4dqpsk调制的代码,采用fpga实现,使用的是Verilog语言,用的是altera的平台,代码中包含了仿真文件,最后仿真出来,得到了正确的pi/4dqpsk的波形,观察波形,得出的信号具有正确的相位跳变
hechangbing
2018-01-19
1
1
qpsk调制解调仿真,simulink
暂无评分
这是一个qpsk调制解调仿真的工程文件,使用的是matlab中的simulink工具平台,搭建出整个调节和解调的系统,经过检验,最后能够正确解调出调制后的信号,得到基带信号,误码率大概在百分之0.0003左右,具有比较好的参考性
hechangbing
2018-01-18
1
1
没有更多了~