发布
loading-left
loading loading loading
loading-right

加载中

个人主页
TA还没有准备介绍自己
TA的源代码 (2)
基于dsp的svpwm
暂无评分
此程序可以用于产生svpwm波形,可用于三相异步电机及三相同步电机的控制。相比于spwm,svpwm有更明显的优势,通过svpwm的调制,发出的矢量更精确。
bobocode
2018-05-21
0
1
用Verilog实现的svpwm
4.0分
基于FPGA实现的SVPWM算法,运用了VerilogHDL语言。                                                                                                                      
bobocode
2018-05-16
5
1
没有更多了~